From 777c4529c2bfd162b55c5a6492db9bf1d9847c80 Mon Sep 17 00:00:00 2001 From: Kyle Isom Date: Sat, 29 Dec 2018 11:08:26 -0800 Subject: [PATCH] TinyAdder: remove unused pins from constraints, add Makefile. --- fpga/tinyfpga/TinyAdder/Makefile | 12 ++++++++++++ fpga/tinyfpga/TinyAdder/pins.pcf | 25 +------------------------ 2 files changed, 13 insertions(+), 24 deletions(-) create mode 100644 fpga/tinyfpga/TinyAdder/Makefile diff --git a/fpga/tinyfpga/TinyAdder/Makefile b/fpga/tinyfpga/TinyAdder/Makefile new file mode 100644 index 0000000..0d884fb --- /dev/null +++ b/fpga/tinyfpga/TinyAdder/Makefile @@ -0,0 +1,12 @@ +MODULES := SegmentLCD.v TinyAdder.v +TARGET := hardware.bin + +.PHONY: all +all: $(TARGET) + +$(TARGET): $(MODULES) + apio build + +.PHONY: upload clean build +build clean upload: + apio $@ diff --git a/fpga/tinyfpga/TinyAdder/pins.pcf b/fpga/tinyfpga/TinyAdder/pins.pcf index fc506cc..1da154e 100644 --- a/fpga/tinyfpga/TinyAdder/pins.pcf +++ b/fpga/tinyfpga/TinyAdder/pins.pcf @@ -44,8 +44,6 @@ set_io --warn-no-port PIN_3 B1 set_io --warn-no-port PIN_4 C2 set_io --warn-no-port PIN_5 C1 set_io --warn-no-port PIN_6 D2 -set_io --warn-no-port PIN_7 D1 -set_io --warn-no-port PIN_8 E2 set_io --warn-no-port PIN_9 E1 set_io --warn-no-port PIN_10 G2 set_io --warn-no-port PIN_11 H1 @@ -62,33 +60,12 @@ set_io --warn-no-port PIN_19 B8 set_io --warn-no-port PIN_20 A8 set_io --warn-no-port PIN_21 B7 set_io --warn-no-port PIN_22 A7 -set_io --warn-no-port PIN_23 B6 -set_io --warn-no-port PIN_24 A6 - -# SPI flash interface on bottom of board -set_io --warn-no-port SPI_SS F7 -set_io --warn-no-port SPI_SCK G7 -set_io --warn-no-port SPI_IO0 G6 -set_io --warn-no-port SPI_IO1 H7 -set_io --warn-no-port SPI_IO2 H4 -set_io --warn-no-port SPI_IO3 J8 - -# General purpose pins on bottom of board -set_io --warn-no-port PIN_25 G1 -set_io --warn-no-port PIN_26 J3 -set_io --warn-no-port PIN_27 J4 -set_io --warn-no-port PIN_28 G9 -set_io --warn-no-port PIN_29 J9 -set_io --warn-no-port PIN_30 E8 -set_io --warn-no-port PIN_31 J2 # LED set_io --warn-no-port LED B3 # USB -set_io --warn-no-port USBP B4 -set_io --warn-no-port USBN A4 set_io --warn-no-port USBPU A3 # 16MHz clock -set_io --warn-no-port CLK B2 # input +# set_io --warn-no-port CLK B2 # input